Thought Leaders

Imec and the World of Nanoelectronics

In this interview, AZoSensors talks to Dr. Hanne Degans, Science Editor from imec about the world of nanoelectronics.

Can you give the audience a brief overview of imec, your core research areas and products?

Imec performs world-leading research in nanoelectronics. Imec leverages its scientific knowledge with the innovative power of its global partnerships in ICT, healthcare and energy. Imec delivers industry-relevant technology solutions. In a unique high-tech environment, its international top talent is committed to providing the building blocks for a better life in a sustainable society. Imec is headquartered in Leuven, Belgium, and has offices in Belgium, the Netherlands, Taiwan, US, China, India and Japan. Its staff of close to 2,000 people includes more than 600 industrial residents and guest researchers. In 2011, imec's revenue (P&L) was about 300 million euro.

Imec is a registered trademark for the activities of IMEC International (a legal entity set up under Belgian law as a "stichting van openbaar nut”), imec Belgium (IMEC vzw supported by the Flemish Government), imec the Netherlands (Stichting IMEC Nederland, part of Holst Centre which is supported by the Dutch Government), imec Taiwan (IMEC Taiwan Co.) and imec China (IMEC Microelectronics (Shangai) Co. Ltd.) and imec India (Imec India Private Limited). More information about imec in the attached brochure ‘imec at a glance’.

Imec's research is built around the world's most advanced lithography tools – can you describe these and their benefits?

The lithographic tool set at imec covers the whole range of wavelengths available for optical lithography. This allows imec to cover a wide spectrum of applications both on 200 and 300mm wafer diameters. Thanks to this complete tool set imec is able to demonstrate quite extreme applications.

On the low end we have broad band/i-line tools, which allow us to pattern in very thick photo resists. These are 1X projection tools from EVG (IQ aligner) and an advanced packaging stepper from Ultratech (AP300). These tools are typically used in the far back end and MEMS applications. The AP300 can be used for both 200 and 300mm wafer sizes.

In our 200mm clean room we have an ASML PAS5500 /200, a /750 and a /1100 tool installed. The /200 is an i-line tool. It is 5X reduction stepper used to image structures from 400nm and up in photo resist layers with a thickness between 800 to 3000 nm. The /750 is a DUV (248nm) 4X reduction step and scan tool. This tool can image structures 150 nm and up in typical photo resist layers of 350 to 800nm thick.

The /1100 is 193 nm 4X reduction step and scan tool. This tool images structures of around 100nm and up in photo resist layers of about 200 to 400 nm. The ASML tools are used to run a 130nm gate technology platform.

The photo area in the 300 mm clean room is covered by 4 step and scan tools and 4 wavelengths. An early 300mm tool, an ASML AT750S, is used for 248nm. An XT1250 covers 193nm.

On the advanced end we have an ASML 193nm NXT1950i immersion cluster available. The immersion technique allows a much improved depth of focus and the 1950i is equipped with the latest and greatest gizmo’s available for optical Litho. This allows us to print structures well below 100nm.

Last but not least we have an NXE3100 using light around 13 nm (eUV) in vacuum. This tool is a preproduction tool allowing imec and its partners to explore the limits of optical lithography. This tool is needed for technology nodes below 20nm gate half pitch.

Can you describe some of your sensor systems for wearable health and wellbeing monitoring and the benefits these systems have in this industry? Have such sensors helped shape this industry and modify practice?  

Imec’s wireless ECG monitoring patch combines imec’s ultralow-power ECG System-on-Chip (a bio-potential ASIC custom designed to provide ECG monitoring with high processing power at extremely low energy consumption), with a standard radio communication link such as Bluetooth Low Energy.

Next to monitoring ECG, it also monitors electrode-tissue contact impedance to evaluate the quality of the ECG measurement and it includes a 3D-accelerometer for physical activity monitoring. The ECG SoC has been designed to run algorithms for motion artifact reduction and beat-to-beat heart rate computation. It has additional computation power to run application-specific algorithms such as epileptic seizure detection, energy expenditure estimation or arrhythmia monitoring.

Imec’s prototype of a wireless EEG (electroencephalogram) headset combines ease-of-use with ultra-low power electronics. The realization of this prototype is a next step towards reliable high-quality wearable EEG monitoring systems. Continuous impedance monitoring and the use of active electrodes increases the quality of EEG signal recording compared to former versions of the system. The EEG data are transmitted in real-time to a receiver located up to 10m from the system.

The system integrates circuit level components including imec’s active electrodes and EEG amplifier together with a microcontroller and a low power radio. It is capable of continuously recording 8 channel EEG signals while concurrently recording electrode-tissue contact impedance (ETI). This simultaneous ETI recording enables continuous, remote assessment of electrode contact status during EEG recording. The active electrodes reduce the susceptibility of the system to power-line interference and cable motion artifacts, thus improving signal quality. The system can be configured at run-time to change the settings of the recordings such as the number of channels, or enabling/disabling the impedance recording. The autonomy of the system ranges from 22 hours (8 channels of EEG with ETI) to 70 hours (1 channel of EEG only).

Industry can get access to imec’s technology for intelligent body area networks with wireless sensors by joining imec’s Human++ program as research partner or by licensing agreements for further product development. Within the Human++ program, imec and Holst Centre develop solutions for an efficient and better healthcare.

Lab-on-chip technologies are becoming popular for testing methods and are particularly beneficial for replacing old methods of diagnostics that are time-consuming and labour intensive. What are the advantages of your lab-on-chip system, where will it be applied and do you see scope for development in additional application fields outside of what you already target for this technology?

Imec’s vision on future healthcare is one of high-quality and affordable diagnosis and treatment. We want to advance that vision by providing innovative game-changing solutions to our partners. We believe every major advancement in science and medicine is inextricably linked with technological advancements that allow us to uncover and discover new insights.

Imec is uniquely positioned to capitalize on the transformative power that semiconductor technologies can offer the life science industry. With close to 30 years of experience researching and developing nanoelectronic systems and technologies, imec has developed a vast and diverse toolbox of advanced technologies and engineering experience. Through our life science division, we tap into the collective knowledge and technology tool set available across imec to deliver:

  1. Cost-effective solutions - Imec implements its novel device and system designs leveraging silicon and semiconductor manufacturing processes as an enabling platform. In doing so, we offer our partners the possibility of leveraging the economies of scale achievable and widely touted in the semiconductor industry.
  2. Highly integrated systems - Imec leverages its vast experience with semiconductor scaling and applies it to the life science field to offer novel systems that not only offer the possibility to miniaturize systems and parallelize functions but also to bring together previously separate and discrete (often manual tasks) into a single integrated device.
  3. Tools that allow us to solve previously intractable challenges - By bringing together biology and electronics and enabling their encounter and dialogue at similar length scales, our technologies permit us to probe biomolecules and their interactions in novel ways, holding promise to create not only more sensitive technologies, but also create the next game-changing technology toolset that will enable our scientists and MDs to uncover mechanisms of disease.

What are the common materials used for your organic electronics and how does this impact the performance of your technology?

The materials in organic electronics are either polymers or small molecules with repeated carbon-carbon double bonds. The number of variations in the chemical structure possible is nearly limitless. Depending on the chemical structure, the optical absorption and the charge transport properties can be modulated in a wide range. In nature, the molecules that give plants their color have a very similar structure e.g. beta-carotene or chlorophyll.

Of course, in an electronic active thin film of organic molecules, not the same high degree of order can be achieved compared to inorganic crystals (e.g. diamond, silicon or germanium). Therefore, the velocity of electrons is 2–5 orders of magnitude slower compared to the more traditional semiconductors. This directly translates into significantly lower speed of devices. On the optical side however, even thin (10nm-100nm) organic layer absorb significantly stronger then inorganic materials. This is especially advantageous for solar cells and optical sensors.

One of the main focal areas for imec is on improving your key technologies to make future energy use sustainable. Can you explain how imec plan on achieving this objective?

Imec has a research group working on different types of next-generation solar cell technologies. The largest part of this R&D is directed toward improving crystalline silicon PV technology. We are running an industrial affiliation program with several PV companies in different parts of the value chain, including solar cell producers, material suppliers and tool suppliers.

In this research, we concentrate on the challenges outlined in the industry’s solar cell roadmap (ITRPV) to make solar cells more efficient and at the same time less expensive. Next to that, we have a number of bilateral collaborations, where we are working to improve our partner’s production processes, materials or tools. Thirdly, we are involved in research programs supported by local and European institutions. These allow us to develop the technology for next-generation cells and modules.

Next to crystalline silicon solar cells, imec also works on thin-film technologies involving organic photovoltaics (OPV) and the development of alternatives technologies to CIGS, such as CZTS solar cells. These activities are part of Solliance, a joint thin film PV research initiative involving imec, ECN, TNO and TU Eindhoven.

Another aspect of sustainable energy developments at imec focuses around saving energy and more efficient power devices. The energy saving aspect deals mainly with improving the energy efficiency of lighting systems. Imec is working on the improvement of the efficiency of GaN high-brightness light emitting diodes (LEDs). GaN exhibits excellent light emission properties in a very broad range of the visible and ultraviolet (UV) spectrum.

Today, more than 60% of energy already passes through chips, monitoring and converting the electrical power. These power electronics components are found in a large range of applications from power supplies for ICT to motor drives, solar converters, or hybrid electrical vehicles. Imec is also working on the improvement of the performance of power electronics systems, another key to control and reduce the electrical power consumption.

Imec’s vision aims to shape the future. The aim is to lead the development of nano-enabled solutions that allow people to live in a sustainable society. How do you plan on achieving this?

It is our ambition to be a pioneer in sustainable innovation, innovation for a green economy and a sustainable healthcare in our ageing society. Starting with shared innovation, mainly in CMOS scaling, we have deepened our knowledge and expertise and extended the scope of our research in the domains in which we can provide meaningful solutions.

Because real innovation often happens at the borderline between two disciplines, we exploit the connections and leverage between our expertise domains to work on new ideas and challenges. We now also have successful collaborations globally with companies and research institutes active in the energy, healthcare, wireless communications of vision systems. Through this shared innovation, we want to offer valuable solutions to our partners.

What do you see as the major challenges ahead for the nanotech market and how do you plan on facing these challenges with your research and development?

While the fundamental research of breakthrough nanotechnologies has been reliably carried out in universities, the translation of this work into real world products and applications has not been as successful.  Moving a hardware based technology out of the university and into a commercial product requires substantial resources in terms of people as well as infrastructure that many would be pioneering startup companies do not readily have absent a blockbuster application. Imec has attempted to provide an on ramp to the bridge that spans this valley of death by further incubating and creating standard platforms for many of these technologies.  

By further maturing the fundamental building blocks to more complex integrated micro/nanosystems, we are able to provide companies both small and large with substantially shorter development timelines. Additionally by offering our multidisciplinary R&D expertise as well as our state-of-art 200/300mm cleanroom to companies, we can work with them from product concept to design and prototyping up through to low volume production. This helps to further reduce the overhead required on both personnel and infrastructure.  

Open innovation is the way of the future providing faster results, lower risk, and higher returns. This model is rapidly being embraced throughout various industries and imec has established itself as the epicenter for such business models in the nanotech market.

How does your research and technology stand from an international perspective?

As technology evolutions in each and every discipline are moving so fast and are interacting so closely with each other, allowing for a broader range of technology options, it becomes virtually impossible for a single company to address these challenges and opportunities internally. It has become too costly and time-consuming to do so in often a short window of opportunity. Globally operating R&D Technology Platforms centers, such as imec, have been addressing a real need of industry over the past 20 years.

Imec has created a unique ecosystem of partners through a unique model of cooperation offering a strong value to all its industrial partners. Imec’s partner network covers the complete value chain including IEDMs, foundries, fabless and fablite companies, equipment and material suppliers, medical companies, pharmaceutical companies, universities, ... Cost-shared and resource-shared collaboration enables to quickly build critical mass towards solutions and provides a strong de-risking for the partner.

The close interaction between the different partners and between the industrial resident researchers and imec researchers gives the partners early insight and deep understanding of different technology options. Moreover, confronting expertise from imec researchers with expertise and needs from the different nodes of the value chain, provides a very fertile innovative environment.

Are there any fields within nanoelectronics that warrant research attention, or any new research areas that are emerging in the field of nanoelectronics? How do you see nanoelectronics shaping the world we live in?

Technologies of nanoelectronics have enabled us to manipulate and shrink down devices to nanoscale dimensions, which in turn has enabled us to create systems with new functionalities. This integration enables better performance, compact form factors and this is often even possible at lower costs. In particular for vision systems, these technologies have enabled us to create breakthroughs in many parts of the vision systems, including image sensors, spectral filters and optics.

We live in an age that is dominated by visual information. Therefore applications that record, analyze, and present visual information have a great impact. There are visual applications to amuse and educate, but also to analyze materials and monitor processes, and to help people to lead healthier and safer lives, by detecting diseases at early stages or by detection hazardous situations. The numbers of such applications - using advanced imaging - are overwhelming, and the possibilities keep growing every day.

One example of such a nano-integration in the vision domain is a hyperspectral camera. A hyperspectral camera captures the information contained in the light reflected off an object, in a much more detailed way than the human eye, by separately measuring light of many different wavelengths, instead of just basic colours. With that information it is, for example, possible to determine which materials make up the object, or to identify contamination in medicines, identify cancerous cells, determine the rate of wound healing, calculate the water needs for a crop, and so on.

Today's hyperspectral systems use expensive, slow, complex cameras. They are research tools rather than professional or consumer electronics. In imec we are co-designing the hardware and software needed to realize compact, fast and simple hyperspectral systems that can impact our daily lives. To bridge the gap between research and industry, imec is developing a novel hyperspectral sensor that integrates a range of spectral filters on top of a commercial CMOS imager. The result is a compact and fast hyperspectral camera made with low-cost CMOS process technology.

Following the same strategy of leveraging nanoelectronics and bringing new technology to everyday life by co-designing hardware and software, we are developing integrated microscopy systems. By removing bulky and expensive high-end optics, many more applications can benefit from the high resolution provided by microscope systems. Examples include biological cell analysis for early disease detection, industrial quality inspection, non-destructive testing or crack detection for mechanical reliability, etc.

By targeting end consumers instead of industry, our technology can be employed to build holographic displays, that promise to create a true 3D visual experience. Imec's R&D activities build on nano-electromechanical (NEMS) to make holographic displays possible. With imec's micromirror based lenses R&D, we want to pioneer the miniaturization of traditional image and video acquisition systems by replacing the bulky glass elements by extremely compact micromirror based optics.

Another import area that deserves research focus in the future is undoubtedly mobile. In fact, mobile is already the present and our reliance on mobile will only increase in the coming years. Additionally when looking at other market trends, Apple® has clearly demonstrated that user experience is top priority in terms of gaining and maintaining market share. When combined, mobile and user experience, issues of system size and power consumption are two common problems to any device whether that be a mobile phone, wearable medical device, or smart meter in the home.  

One such solution from a nanoelectronics standpoint is greater integration of components at the chip level. Current systems reliance on multiple chips for processing, wireless connectivity, analog input will evolve to more single-chip SoC based offerings. Component level tradeoffs will be made for greater overall system performance as the market for ultra low power miniature form factor devices continues to grow. Ultimately many chips that are currently manufactured using different process technologies will migrate to a common process technology decreasing the cost, size, and power consumption of the device.  

Disclaimer: The views expressed here are those of the interviewee and do not necessarily represent the views of AZoM.com Limited (T/A) AZoNetwork, the owner and operator of this website. This disclaimer forms part of the Terms and Conditions of use of this website.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    imec Inc.. (2019, June 24). Imec and the World of Nanoelectronics. AZoSensors. Retrieved on April 17, 2024 from https://www.azosensors.com/article.aspx?ArticleID=73.

  • MLA

    imec Inc.. "Imec and the World of Nanoelectronics". AZoSensors. 17 April 2024. <https://www.azosensors.com/article.aspx?ArticleID=73>.

  • Chicago

    imec Inc.. "Imec and the World of Nanoelectronics". AZoSensors. https://www.azosensors.com/article.aspx?ArticleID=73. (accessed April 17, 2024).

  • Harvard

    imec Inc.. 2019. Imec and the World of Nanoelectronics. AZoSensors, viewed 17 April 2024, https://www.azosensors.com/article.aspx?ArticleID=73.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.