Editorial Feature

Improving Silicon Wafer Quality Control with Thermal Analysis Sensors

Continue reading to learn more about the application of thermal sensors in silicon wafer quality control.

Silicon Wafers and Microcircuits with Automation system control application on automate robot arm

Image Credit: asharkyu/Shutterstock.com

Silicon wafers are the backbone of the electronics industry as they are employed in various electronic products like smartphones, solar cells, and computers. In silicon wafer manufacturing, stringent quality control is essential. The flawless quality of these wafers is the foundation of reliable and high-performing electronic devices.2

Importance of Quality Control in Semiconductor Manufacturing

Silicon wafers are crucial raw materials for fabricating semiconductors. Wafer specifications and characteristics impact the yield of integrated circuits fabricated on the wafer. The silicon wafer production comprises several phases, with stringent quality control methods utilized in each phase.9

In-process inspection and testing are employed to identify faults and ensure the wafer quality. For instance, ultrasound and infrared cameras are used to determine wafer thickness and identify crystal flaws, respectively, to confirm the performance and reliability of final products.2,11

Introduction to Thermal Analysis Sensors in Silicon Wafer Quality Control

Thermal analysis refers to the study of materials involving thermal control as measurements are made based on the decrease or increase in temperature. Platinum resistance thermometers and thermocouples are the commonly used temperature sensors in thermal analysis.8 Resistance temperature detectors (RTDs) and thermocouples are used for controlling the wafer surface temperature during semiconductor processing.3

Thermocouples are the most commonly utilized temperature sensors due to their non-requirement of external power, low cost, and flexibility. Reduced dependence on destructive testing, higher accuracy, and real-time monitoring are the major advantages of thermal sensor-based quality control methods over traditional quality control methods.4,5,10

Working Principles of Thermal Sensors

Thermocouples

Thermocouples utilize the Seebeck effect/thermoelectric effect to measure the temperature difference between a reference junction with a known temperature and a measuring point. A potential is induced between two metal tips of different materials welded or twisted together and the reference junction by the Seebeck effect. This measured potential is translated to a temperature difference using specific polynomial equations or tables.3

Unlike resistance thermometers, thermocouples possess a fast response time, a large measurement range, and do not interfere with the measuring media. Different thermocouples are available to measure several temperature ranges. For instance, type T thermocouples are used to measure lower temperatures, -185 to 300 °C and type S is used for higher temperatures up to 1600 °C.

Thermocouples come with different insulation options, including stainless steel and ceramic. Type K thermocouples are used most commonly as they can measure from 0 to 1100 °C in continuous operation.1 Although the accuracy of thermocouples depends on the thermocouple type, it does not exceed ± three K.5

Macro of Silicon wafers. Low DOF

Image Credit: fotografos/Shutterstock.com

RTDs

RTDs measure temperature by correlating electrical resistance with temperature, typically using platinum elements. The resistance of this passive circuit element is greater at higher temperatures in a predictable manner. In a conventional RTD element, a small coil of nickel, copper, or platinum wire is wound to a specific resistance value around a glass or ceramic bobbin.

This winding typically follows a helix style for industrial applications. High precision, linearity, and sensitivity are the major advantages of RTDs. These sensors can be utilized safely up to 500 °C. Additionally, their behavior is more linear and accurate compared to thermocouples when an excitation current is needed to obtain a voltage signal from an RTD.

However, RTDs are sensitive to gamma and neutron radiation, and the time constant is more substantial compared to thermocouples. These are the key disadvantages that must be considered while using this sensor. Platinum is commonly used as the RTD element material as it is a more stable, accurate, reliable, and chemically resistant material compared to other materials, making it less susceptible to corrosion and environmental contamination.4,10

Applications in Silicon Wafer Characterization

Thermocouple-equipped wafers introduced by Nippon Chemi-Con Corporation can control the temperature within devices during the high-temperature semiconductor production processing stages, such as during calcination and oxidation. Specifically, the temperature of a silicon wafer can be monitored by attaching thermocouples to different places on the wafer. They can precisely confirm the uniform distribution of heat throughout the silicon wafer.7

The thermocouples attached to the top of silicon wafers possess multiple special features. For instance, they were treated to suppress dust generation to make them suitable for use in clean environments. Additionally, the easily damaged strands were attached carefully and special attention was paid to ensure their longer lifespan. Moreover, very fine-line thermoelectric couples were used to prevent temperature variations within furnaces. These thermocouples are utilized to measure temperature in vacuum environments.7

During the post-exposure bake (PEB) process, effectively controlling the wafer temperature is crucial. While negative feedback techniques can improve temperature control, poor thermal contact between the wafer and the sensor results in the deterioration of the feedback signal quality and closed-loop system performance.

Closed-loop control can effectively improve temperature regulation. However, achieving precise in-situ wafer temperature monitoring using temperature sensors is challenging. For instance, the measurement accuracy of temperature sensors like RTDs and thermocouples depends on the amount of thermal contact between the wafer surface and the transducer.

Ensuring consistent and good thermal contact between the sensors and the wafer is challenging as a wafer is placed on the hot plate during the PEB process, leading to large measurement errors. Specifically, the sensors will pick up radiation from underlying devices like heaters, as silicon wafers are semi-transparent to infrared radiation.

A study proposes a sensor parameter estimation algorithm to identify an RTD's transfer function in the presence of temperature variations. This new scheme is suitable for semiconductor manufacturing as it allows the loop current step response (LCSR) test to be performed concurrently with the PEB process, enabling the processed wafer throughput to be maintained.

Additionally, the estimated transfer function parameters' accuracy is not impacted by the time delay between the start of the LCSR test and the PEB process in this proposed approach. Measurement accuracy and the wafer temperature control are improved after estimating the sensor parameters using the inverse sensor compensation approach for wafer temperature prediction.6

Future Developments

In conclusion, thermal analysis sensors, particularly thermocouples, play a vital role in silicon wafer quality control by enabling precise temperature monitoring during various processing stages. In the future, thermal sensors with greater versatility and improved performance must be developed for robust quality control and failure analysis.

Assisting Thermal Analysis with Sensors

References and Further Reading

  1. Zhu, Y. (2020). Advanced characterization of defects in silicon wafers and solar cells. [Online]. Available at https://unsworks.unsw.edu.au/entities/publication/045cdc1a-2739-4720-848d-ca16f81a28b4/full (Accessed on 10 March 2024)
  2. Quality Control in Silicon Wafer Manufacturing [Online] (Accessed on 10 March 2024)
  3. Kim, J., Mun, J., Shin, J. S., Kang, S. W. (2020). Development of a calibration system for wafer-type temperature sensor. AIP Advances, 10(11). https://doi.org/10.1063/6.0000536
  4. Resistance Temperature Detector [Online] Available at https://www.sciencedirect.com/topics/engineering/resistance-temperature-detector#:~:text=Resistance%20Temperature%20Detectors%20(RTDs)%20can,up%20to%20500%C2%B0C. (Accessed on 10 March 2024)
  5. Thermocouple [Online] Available at https://www.sciencedirect.com/topics/earth-and-planetary-sciences/thermocouple#:~:text=A%20thermocouple%20generates%20a%20voltage,known%20as%20the%20Seebeck%20effect. (Accessed on 10 March 2024)
  6. Tan, W. W., Li, R. F., Loh, A. P., Ho, W. K. (2008). RTD response time estimation in the presence of temperature variations and its application to semiconductor manufacturing. IEEE Transactions on Instrumentation and Measurement, 57(2), 406-412. https://doi.org/10.1109/TIM.2007.910097
  7. Overview of Thermocouple Equipped Wafers [Online] Available at https://www.chemi-con.co.jp/en/faq/detail.php?id=29AG9BS (Accessed on 10 March 2024)
  8. Bose, P. (2020). Uses in Thermal Analysis for Temperature Sensors. [Online] Available at https://www.azosensors.com/article.aspx?ArticleID=1897 (Accessed on 10 March 2024)
  9. Chien, C. F., Chen, Y. H., Lo, M. F. (2020). Advanced quality control (AQC) of silicon wafer specifications for yield enhancement for smart manufacturing. IEEE Transactions on Semiconductor Manufacturing, 33(4), 569-577. https://doi.org/10.1109/TSM.2020.3010200
  10. Industrial Grade Wireless Wafer Temperature Sensor – RTD Sensors. [Online] Available at https://www.phaseivengr.com/product/sensors/temperature/rtd/wafer-temperature-sensor-rtd-sensors/ (Accessed on 10 March 2024)
  11. Khan, T. (2023). Wafer Inspection Sensors: The Critical Eye in Semiconductor Manufacturing. [Online] Available at https://www.azosensors.com/article.aspx?ArticleID=2946 (Accessed on 10 March 2024)

Disclaimer: The views expressed here are those of the author expressed in their private capacity and do not necessarily represent the views of AZoM.com Limited T/A AZoNetwork the owner and operator of this website. This disclaimer forms part of the Terms and conditions of use of this website.

Samudrapom Dam

Written by

Samudrapom Dam

Samudrapom Dam is a freelance scientific and business writer based in Kolkata, India. He has been writing articles related to business and scientific topics for more than one and a half years. He has extensive experience in writing about advanced technologies, information technology, machinery, metals and metal products, clean technologies, finance and banking, automotive, household products, and the aerospace industry. He is passionate about the latest developments in advanced technologies, the ways these developments can be implemented in a real-world situation, and how these developments can positively impact common people.

Citations

Please use one of the following formats to cite this article in your essay, paper or report:

  • APA

    Dam, Samudrapom. (2024, March 14). Improving Silicon Wafer Quality Control with Thermal Analysis Sensors. AZoSensors. Retrieved on April 27, 2024 from https://www.azosensors.com/article.aspx?ArticleID=3019.

  • MLA

    Dam, Samudrapom. "Improving Silicon Wafer Quality Control with Thermal Analysis Sensors". AZoSensors. 27 April 2024. <https://www.azosensors.com/article.aspx?ArticleID=3019>.

  • Chicago

    Dam, Samudrapom. "Improving Silicon Wafer Quality Control with Thermal Analysis Sensors". AZoSensors. https://www.azosensors.com/article.aspx?ArticleID=3019. (accessed April 27, 2024).

  • Harvard

    Dam, Samudrapom. 2024. Improving Silicon Wafer Quality Control with Thermal Analysis Sensors. AZoSensors, viewed 27 April 2024, https://www.azosensors.com/article.aspx?ArticleID=3019.

Tell Us What You Think

Do you have a review, update or anything you would like to add to this article?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.